Opened 12 years ago

Closed 12 years ago

#389 closed defect (fixed)

Text / HM mismatch for slice_type

Reported by: fbossen Owned by:
Priority: minor Milestone: HM-7.0
Component: HM Version: HM-6.0
Keywords: Cc: fbossen, ksuehring, davidf, jct-vc@…

Description

Text defines:
slice_type = 0 for P slice
slice_type = 1 for B slice
slice_type = 2 for I slice

HM defines:
slice_type = 0 for I slice
slice_type = 1 for P slice
slice_type = 2 for B slice

The numbering scheme in the text is aligned with prior AVC specification. It is therefore suggested to modify the SW to align it with the text.

Change History (3)

comment:1 Changed 12 years ago by DefaultCC Plugin

  • Cc fbossen ksuehring davidf jct-vc@… added

comment:2 Changed 12 years ago by ksuehring

When this was defined in AVC we expected P to be the most frequently used slice type. I think in HEVC we would now assume B to be the most likely slice type, so maybe using a value of zero for B would help to save some bits in common cases?

comment:3 Changed 12 years ago by ksuehring

  • Milestone changed from HM-6.1 to HM-7.0
  • Resolution set to fixed
  • Status changed from new to closed

Fixed by the adoption of JVTVC-I0500. Software has been committed to HM-6.3-dev. Text is available in the editing AHG.

Note: See TracTickets for help on using tickets.

This list contains all users that will be notified about changes made to this ticket.

These roles will be notified: Reporter, Owner, Subscriber, Participant

  • David Flynn(Subscriber)
  • Frank Bossen(Reporter, Subscriber)
  • jct-vc@…(Subscriber)
  • karl.sharman@…(Always)
  • Karsten Suehring(Subscriber, Participant, Always)